作业帮 > 综合 > 作业

求解这段verilog语句中的编译错误

来源:学生作业帮 编辑:搜搜做题作业网作业帮 分类:综合作业 时间:2024/07/13 08:58:51
求解这段verilog语句中的编译错误
module create_select(input wire [1:0] switch,input wire clk,output reg [3:0] select);
reg [5:0] cnt;
initial cnt
求解这段verilog语句中的编译错误
你的if 应该写到always块里面

"if(switch【1:0】==2‘b00)
select