作业帮 > 综合 > 作业

vhdL语言中for循环的作用范围是什么

来源:学生作业帮 编辑:搜搜做题作业网作业帮 分类:综合作业 时间:2024/07/20 06:21:15
vhdL语言中for循环的作用范围是什么
vhdL语言中for循环的作用范围是什么
在非仿真程序中一般不建议使用for语句的,因为复杂点的for语句是综合不了的,一般用于比较简单的功能赋值,比如例化ram用.
在仿真语句中是可以识别for语句的,一般用于对程序的赋值之类的,功能和C语言的功能是差不多的,只是格式不太一样.
再问: 请问什么是仿真程序,我不太懂哎。这几天学习VHDL语言又遇到新的问题: if(reset=‘0’)then count:=(others=>'0');这句该作何解释啊,others=>'0'不知道是什么意思啊。期待你的答复,谢谢好心人。交个朋友吧,我邮箱是kaiwen1103@126.com,可以给我发邮件。
再答: others=>'0'是把count的所有位值全部赋为0。 你编写的程序都需要对应的仿真程序去仿真,也就是要给激励,才能看出程序的问题,仿真是设计中必不可少的。