作业帮 > 综合 > 作业

请教VHDL中并行语句的意思,像when……else语句,条件的判断不是有顺序的吗?

来源:学生作业帮 编辑:搜搜做题作业网作业帮 分类:综合作业 时间:2024/08/06 05:05:39
请教VHDL中并行语句的意思,像when……else语句,条件的判断不是有顺序的吗?
请教VHDL中并行语句的意思,像when……else语句,条件的判断不是有顺序的吗?
当然有顺序,并行的意思是同一层的语句并发执行,不是一句接一句的执行.if...else.中,if下面的同层语句都并行,else下面的同层语句也都并行执行
再问: …… BEGIN sel