作业帮 > 综合 > 作业

eda设计数控分频器(实现2~16)分频

来源:学生作业帮 编辑:搜搜做题作业网作业帮 分类:综合作业 时间:2024/07/18 03:21:43
eda设计数控分频器(实现2~16)分频
eda设计数控分频器(实现2~16)分频
我这个能实现4~64分频只能是偶数!要给分哦.
library ieee;
use ieee.std_logic_1164.all;
entity shukong is
port(t:in std_logic_vector(6 downto 0);
clk:in std_logic;
q:out std_logic);
end ;
architecture one of shukong is
signal shu:integer range 0 to 100;
signal k:std_logic;
begin
process(clk)
begin
if clk'event and clk='1' then
shuif shu